Intel® Quartus® Prime Standart Sürüm
Sürüm 22.1std Yazılım ve Cihaz Desteği Sürüm Notları
Intel® Quartus® Prime Design Suite için güncellendi: 22.1std.1
Kullanıcı Kılavuzu
Intel® Quartus® Prime Standard Edition Sürüm 22.1std Yazılım ve Cihaz Desteği Sürüm Notları
Bu belge, Intel® Quartus® Prime Standard Edition Sürüm 22.1std ve 22.1std.1 hakkında en son bilgileri sağlar.
Bu yazılım sürümü hakkında ek bilgi için Intel Quartus Prime Standard Edition README'ye bakın. file aşağıdaki konumda: /quartus/benioku.txt
İşletim sistemi desteği hakkında bilgi için aşağıdakilere bakın web sayfa: Intel FPGA İşletim Sistemi Desteği.
İlgili Bilgiler
- Intel Quartus Prime Pro Edition Yazılım ve Cihaz Desteği Sürüm Notları
- Linux için Intel Quartus Prime Standard Edition Tasarım Yazılımı
- Windows için Intel Quartus Prime Standard Edition Tasarım Yazılımı
- Linux için Intel Quartus Prime Lite Sürümü Tasarım Yazılımı
- Windows için Intel Quartus Prime Lite Sürümü Tasarım Yazılımı
- Intel FPGA Yazılım Kurulumu ve Lisanslaması
1.1. Yeni Özellikler ve Geliştirmeler
Intel Quartus Prime Standard Edition Yazılım Sürümü 22.1std ve Sürüm 22.1std.1, işlevsellik ve güvenlik güncellemelerini içerir. Yazılımınızı güncel tutun ve kurallara uyun. teknik öneriler Intel Quartus Prime kurulumunuzun güvenliğini artırmaya yardımcı olur.
Intel Quartus Prime Standard Edition Yazılımı Sürüm 22.1std, aşağıdaki yeni özellikleri ve geliştirmeleri içerir:
- Nios® V/m işlemci desteği eklendi.
- Intel MAX® 10 cihazları için 1.8V LVDS desteği eklendi.
Hata Düzeltmeleri
Intel Quartus Prime Standard Edition Yazılımı Sürüm 22.1std ve Sürüm 22.1std.1 aynı zamanda hata düzeltmelerini de içerir. Tekrarview Bu sürümün müşteri hizmetleri (Intel Premier Desteği) isteklerinizden herhangi biri için düzeltmeler içerip içermediğini veya başka bir şekilde çözüp çözmediğini görmek için Çözülen Yazılım Sorunları sayfa 13 ve Bu Sürümde Yer Alan Yazılım Yamaları sayfa 13.
1.2. Yazılım Davranışındaki Değişiklikler
Bu bölüm, Intel Quartus Prime Standard Edition yazılımının davranışının ve varsayılan ayarlarının, Intel Quartus Prime Standard Edition yazılımının önceki sürümlerine göre değiştirildiği örnekleri belgelemektedir.
Intel Quartus Prime Varsayılan Ayarlarına bakın File (.qdf), Intel Quartus Prime yazılımının en son sürümüne ilişkin tüm varsayılan atama ayarlarının listesi için /quartus/bin/questment_defaults.qdf.
1.2.1. Kullanımdan Kaldırılan Özellikler ve İşlevler
Bu bölümde listelenen işlevler ve özellikler kullanımdan kaldırılmıştır ancak Intel Quartus Prime Standard Edition Sürüm 22.1std.1 veya önceki sürümlerden kaldırılmamıştır.
Kullanımdan kaldırılan özellikler ve işlevler kaldırılmadan önce, yedek veya alternatif özellikleri ve işlevleri kullanmak için araçlarınızı ve süreçlerinizi taşıyın.
Intel Quartus Prime Standardı İtibarıyla Kullanımdan Kaldırılan Özellikler ve İşlevler Sürüm Sürümü 22.1std.1
Intel Quartus Prime Standard Edition Sürüm 22.1.1'de hiçbir Intel Quartus Prime özelliği veya işlevi kullanımdan kaldırılmamıştır.
Intel Quartus Prime Standardı İtibarıyla Kullanımdan Kaldırılan Özellikler ve İşlevler Sürüm Sürümü 22.1std
Intel Quartus Prime Standard Edition Sürüm 22.1'de hiçbir Intel Quartus Prime özelliği veya işlevi kullanımdan kaldırılmamıştır.
Intel Quartus Prime Standard Edition Sürüm 21.1.1 İtibarıyla Kullanımdan Kaldırılan Özellikler ve İşlevler
Intel Quartus Prime Standard Edition Sürüm 21.1.1'de hiçbir Intel Quartus Prime özelliği veya işlevi kullanımdan kaldırılmamıştır.
Intel Quartus Prime Standardı İtibarıyla Kullanımdan Kaldırılan Özellikler ve İşlevler Sürüm Sürüm 21.1
Intel Quartus Prime Standard Edition Sürüm 21.1'de hiçbir Intel Quartus Prime özelliği veya işlevi kullanımdan kaldırılmamıştır.
Intel Quartus Prime Standardı İtibarıyla Kullanımdan Kaldırılan Özellikler ve İşlevler Sürüm Sürüm 20.1
Intel Quartus Prime Standard Edition Sürüm 20.1'de hiçbir Intel Quartus Prime özelliği veya işlevi kullanımdan kaldırılmamıştır.
1.2.2. Kaldırılan Özellikler ve İşlevler
Bu bölümde listelenen işlevler ve özellikler Intel Quartus Prime Standard Edition Sürüm 22.1std.1 veya önceki sürümlerden kaldırılmıştır.
Intel Quartus Prime Standard Edition'dan Kaldırılan Özellikler ve İşlevler Sürüm 22.1std.1
Intel Quartus Prime Standard Edition Sürüm 22.1.1'den hiçbir Intel Quartus Prime özelliği veya işlevi kaldırılmamıştır.
Intel Quartus Prime Standard Edition'dan Kaldırılan Özellikler ve İşlevler Sürüm 22.1std
Intel Quartus Prime Standard Edition Sürüm 22.1'den hiçbir Intel Quartus Prime özelliği veya işlevi kaldırılmamıştır.
Intel Quartus Prime Standard Edition'dan Kaldırılan Özellikler ve İşlevler Sürüm 21.1.1
Intel Quartus Prime Standard Edition Sürüm 21.1.1'den hiçbir Intel Quartus Prime özelliği veya işlevi kaldırılmamıştır.
Intel Quartus Prime Standard Edition'dan Kaldırılan Özellikler ve İşlevler Sürüm 21.1
- ModelSim*-Intel FPGA Edition ve ModelSim-Intel FPGA Starter Edition kaldırıldı
Bu simülasyon yazılımının yerini sırasıyla Questa*-Intel FPGA Edition ve Questa-Intel FPGA Starter Edition almıştır. - 32 bit simülasyon yazılımı desteği kaldırıldı.
Bu değişiklik aşağıdaki simülasyon araçlarına yönelik desteği kaldırır:
— Aldec* Aktif HDL* (32 bit)
Aldec Active-HDL'nin 64 bit sürümünü kullanın veya bunun yerine Aldec Riviera-PRO* kullanın.
— Mentor Graphics* ModelSim PE
Bunun yerine Siemens* EDA ModelSim SE veya Siemens EDA Questa Gelişmiş Simülatörü kullanın. - NicheStack TCP/IP Yığın desteği kaldırıldı.
- Cadence* Incisive* Enterprise Simulator (IES) desteği kaldırıldı.
Intel Quartus Prime Standard Edition'dan Kaldırılan Özellikler ve İşlevler Sürüm 20.1
Aşağıdaki yazılımlara yönelik destek Intel Quartus Prime Standard Edition Sürüm 20.1 ve sonraki sürümlerden kaldırılmıştır:
- Intel FPGA'lar için DSP Builder
- OpenCL™ için Intel FPGA SDK (*)
- OpenCL için Intel FPGA RTE
- Intel Yüksek Düzey Sentez (HLS) Derleyicisi
(*) OpenCL ve OpenCL logosu, Apple Inc.'in ticari markalarıdır ve Khronos Group™'un izniyle kullanılır
1.3. İşletim Sistemi Desteği
Intel Quartus Prime Design Suite için işletim sistemi desteğine ilişkin bilgilere Intel FPGA'nın İşletim Sistemi Desteği sayfasından ulaşılabilir. webalan.
Intel Quartus Prime Standard Edition'da İşletim Sistemi Desteği Değişiklikleri Sürüm 22.1std.1
Intel Quartus Prime Standard Edition Sürüm 22.1std.1'de işletim sistemi desteğinde herhangi bir değişiklik yoktur.
Intel Quartus Prime Standard Edition'da İşletim Sistemi Desteği Değişiklikleri Sürüm 22.1std
Aşağıdaki işletim sistemlerine yönelik destek, Intel Quartus Prime Standard Edition Sürüm 22.1'den itibaren kullanımdan kaldırılmıştır:
- CentOS* Linux 8.2
- Windows Sunucusu* 2012
- Windows Sunucusu 2016
- Windows* 10 Sürüm 1607
Windows 10 kurulumunuzu Windows 10 Sürüm 1809 veya sonraki bir sürüme geçirin.
Bu işletim sistemlerine yönelik destek gelecekteki bir sürümde kaldırılabilir.
Intel Quartus Prime Standard Edition Sürüm 22.1, aşağıdaki işletim sistemlerine yönelik desteği kaldırdı:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Kurumsal Linux* 7
- Red Hat Kurumsal Linux 8.0(2)
- Red Hat Kurumsal Linux 8.1(2)
Intel Quartus Prime Standard Edition'da İşletim Sistemi Desteği Değişiklikleri Sürüm 21.1.1
Intel Quartus Prime Standard Edition Sürüm 21.1.1'de işletim sistemi desteğinde herhangi bir değişiklik yoktur.
Intel Quartus Prime Standard Edition'da İşletim Sistemi Desteği Değişiklikleri Sürüm 21.1
Intel Quartus Prime Standard Edition Sürüm 21.1, aşağıdaki işletim sistemleri için destek ekledi:
- CentOS Linux 8.2, Intel Quartus Prime Standard Edition Sürüm 22.1 tarafından desteklenmeye devam ediyor
- Red Hat* Enterprise Linux 8.2, Intel Quartus Prime Standard Edition Sürüm 22.1 tarafından desteklenmeye devam ediyor
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Kurumsal Sunucu 15
- Ubuntu* Linux 20 LTS
- Windows Sunucusu 2019
Aşağıdaki işletim sistemlerine yönelik destek, Intel Quartus Prime Standard Edition Sürüm 21.1'den itibaren kullanımdan kaldırılmıştır. Bu işletim sistemlerine yönelik destek gelecekteki bir sürümde kaldırılabilir:
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition Sürüm 21.1, aşağıdaki işletim sistemlerine yönelik desteği kaldırdı:
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
İlgili Bilgiler
İşletim Sistemi Desteği
1.4. Disk Alanı ve Bellek Önerileri
Intel Quartus Prime Standard Edition yazılımının tam kurulumu, 40 GB'a kadar kullanılabilir disk alanı gerektirir.
Sisteminizi, tasarımınızı işlemek için gerekli olan önerilen fiziksel RAM'e eşit ek sanal bellek sağlayacak şekilde yapılandırın. Bu ek sanal bellek, tasarımınızı işlemek için mevcut olan toplam etkili belleği etkili bir şekilde iki katına çıkarır.
Not:
En yüksek sanal bellek bu önerileri aşabilir. Bu öneriler, sonsuz miktarda RAM'e sahip donanımda elde edilenin %10'u dahilinde çalışma süresi elde etmek için gereken fiziksel bellek miktarına dayanmaktadır.
Tablo 1.
Arria® Tasarımlarının İşlenmesi için Bellek Gereksinimleri
Bu gereksinimler hem Windows hem de Linux kurulumları için aynıdır.
| Aile | Cihaz | Önerilen Fiziksel RAM |
| Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
| 10AT090, 10AX090 | 44 GB | |
| 10AS066, 10AX066 | 32 GB | |
| 10AS057, 10AX057 | 30 GB | |
| 10ASO48, 10AX048 | 28 GB | |
| 10AX032, 10AS032 | 24 GB | |
| 10AX027, 10AS027 | 22 GB | |
| 10AX022, 10AS022 | 20 GB | |
| 10AX016, 10AS016 | 18 GB | |
| Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
| 5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
| 5AGXA7, 5AGTC7 | 10 GB | |
| 5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
| 5AGXA1 | 6 GB | |
| Arria V GZ | 5AGZE7 | 16 GB |
| 5AGZE3, 5AGZE5 | 12 GB | |
| 5AGZE1 | 8 GB | |
| Arria II GX | EP2AGX260 | 6 GB |
| EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
| EP2AGX65 | 2 GB | |
| EP2AGX45 | 1.5 GB | |
| Arria II GZ | EP2AGZ350 | 8 GB |
| EP2AGZ300 | 6 GB | |
| EP2AGZ225 | 4 GB |
Tablo 2.
Cyclone® Tasarımlarının İşlenmesi için Bellek Gereksinimleri
Bu gereksinimler hem Windows hem de Linux kurulumları için aynıdır.
| Aile | Cihaz | Önerilen Fiziksel RAM |
| Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
| 10CL080, 10CL055 | 1 GB | |
| 10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
| Siklon V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
| 5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
| Siklon IV GX | EP4CGX110, EP4CGX150 | 2 GB |
| EP4CGX50, EP4CGX75 | 1.5 GB | |
| EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
| Siklon IV E | EP4CE115 | 1.5 GB |
| EP4CE55, EP4CE75 | 1 GB | |
| EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tablo 3.
MAX Tasarımlarının İşlenmesi için Bellek Gereksinimleri
Bu gereksinimler hem Windows hem de Linux kurulumları için aynıdır.
| Aile | Cihaz | Önerilen Fiziksel RAM |
| Intel MAX 10 | 10M50 | 2 GB |
| 10M16 | 2 GB | |
| 10M25 | 2 GB | |
| 10M40 | 2 GB | |
| 10M04, 10M08 | 1 GB | |
| 10M02 | 512 MB | |
| MAKS V | Tüm | 512 MB |
| MAX II | Tüm | 512 MB |
Tablo 4.
Stratix®Tasarımlarının İşlenmesi için Bellek Gereksinimleri
Bu gereksinimler hem Windows hem de Linux kurulumları için aynıdır.
| Aile | Cihaz | Önerilen Fiziksel RAM |
| Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
| 5SGXA9, 5SEE9 | 24 GB | |
| 5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
| 5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
| 5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
| 5SGSD3 | 8 GB | |
| Tabaka IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
| EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
| EP4SGX290 | 6 GB | |
| EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
| EP4SGX70 | 2 GB |
1.5. Cihaz Desteği ve Pin Çıkışı Durumu
Şu anda tüm üretim cihazları tam derleme, simülasyon, zamanlama analizi ve programlama desteğine sahiptir.
1.5.1. Cihaz Desteğindeki Değişiklikler
1.6. Zamanlama Modeli, Güç Modeli ve Cihaz Durumu
Tablo 5.
Intel Arria 10 Cihazları için Zamanlama Modeli, Güç Modeli ve Cihaz Durumu
| Cihaz Ailesi | Cihaz | Zamanlama Modeli Durumu | Güç Modeli Durumu | Aygıt Durumu |
| Intel Arria10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Final – 16.1 (3)(4) | Final – 17.0 | Final – 17.0 |
| 10AX048, 10AS048 | Final – 16.0.2 (4) | Final – 17.0 | Final – 17.0 | |
| 10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Final – 16.0.1 (4) | Final – 16.0.1 | Final – 16.0.1 | |
| 10AX115, 10AT115 | Final – 16.0 (4) | Final – 16.0 | Final – 16.0 |
(3) -1 hız derecesine sahip cihazlar Intel Quartus Prime yazılımının 17.0 sürümünde sonlandırıldı
(4) Tüm askeri sınıf cihazlar Intel Quartus Prime yazılımının 18.0.1 sürümünde sonlandırıldı.
Tablo 6.
Intel Cyclone 10 Cihazları için Zamanlama Modeli, Güç Modeli ve Cihaz Durumu
| Cihaz Ailesi | Cihaz | Zamanlama Modeli Durumu | Güç Modeli Durumu | Aygıt Durumu |
| Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Final – 17.0 | Final – 17.1 | Final – 17.1 |
Tablo 7.
Intel MAX 10 Cihazları için Zamanlama Modeli, Güç Modeli ve Cihaz Durumu
| Cihaz Ailesi | Cihaz | Zamanlama Modeli Durumu | Güç Modeli Durumu | Aygıt Durumu |
| Intel MAX 10 | 10M02, 10M04, 10M08 | Final – 15.1 (5) | Final – 15.1 | Final – 15.1 |
| 10M16, 10M25, 10M40, 10M50 | Final – 15.1.2 | Final – 15.1 | Final – 15.1 |
Intel Quartus Prime yazılımının mevcut sürümü aynı zamanda Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V için son zamanlama ve güç modellerini de içerir. SoC, MAX II, MAX II Z, MAX V, Stratix IV ve Stratix V cihaz aileleri. Bu cihaz aileleri için zamanlama modelleri, Intel Quartus Prime yazılımının 11.1 veya önceki sürümlerinde nihai hale geldi.
1.7. IBIS Modelleri
Tablo 8. Intel Quartus Prime Standard Edition Yazılımı için IBIS Model Durumu Sürüm 22.1std'yi Yayınlayın
Intel Quartus Prime Standard Edition yazılım sürümü 16.0'dan itibaren cihaz aileleri, Gelişmiş, Ön veya Final olan IBIS model durumlarına sahiptir.
| Cihaz Ailesi | IBIS Modeli Durumu |
| Intel Arria10 | Final – 16.1.2 |
| Arria V | PHY cihazının çalışmasıyla bağlantılı – 14.0 |
| Arria II GX | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
| Arria II GZ | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
| Intel Cyclone 10 LP | Final – 17.0 |
| Siklon V | PHY cihazının çalışmasıyla bağlantılı – 14.0 |
| Siklon IV E | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
| Siklon IV GX | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
| Intel MAX 10 | Final – 16.0 |
| MAKS V | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
| Stratix V | PHY cihazının çalışmasıyla ilişkilidir – 13.0 SP1 |
| Tabaka IV | PHY cihazının çalışmasıyla bağlantılı – 11.1 |
Güncellenmiş IBIS modelleri, Intel FPGA Cihazları için IBIS Modellerinde çevrimiçi olarak mevcuttur web sayfa. Bu sayfa, cihazlara yönelik IBIS modelleri kullanıma sunuldukça veya güncellendikçe güncellenir.
(5) MAX 10 A6 hız sınıfı parçaları için zamanlama modeli durumları Ön olarak kalır.
1.8. EDA Arayüz Bilgileri
Tablo 9.
Intel Quartus Prime Standard Edition Yazılım Sürümü 22.1std'yi Destekleyen Sentez Araçları
| Sentez Araçları | Sürüm |
| Siemens EDA Hassasiyeti* | Intel Quartus Prime yazılımını destekleyen Siemens EDA Precision sürümleri genellikle Intel Quartus Prime yazılımının piyasaya sürülmesinden sonra piyasaya sürülür. Intel Quartus Prime Standard Edition Yazılım Sürümü Sürüm 22.1std'yi destekleyen Siemens EDA Precision sürümleri için Siemens EDA ile iletişime geçin. |
| Synopsys* Synplify*, Synplify Pro* ve Synplify Premier | Intel Quartus Prime yazılımını destekleyen Synopsys Synplify, Synplify Pro ve Synplify Premier sürümleri genellikle Intel Quartus Prime yazılımının piyasaya sürülmesinden sonra piyasaya sürülür. Intel Quartus Prime Standard Edition Yazılım Sürümü Sürüm 22.1std'yi destekleyen Synopsys Synplify, Synplify Pro ve Synplify Premier sürümleri için Synopsys ile iletişime geçin. |
Tablo 10.
Intel Quartus Prime Standard Edition'ı Destekleyen Simülasyon Araçları Yazılım Sürümü Sürümü 22.1std
Aşağıdaki simülasyon araçları RTL ve işlevsel kapı düzeyinde simülasyon sağlar. Yalnızca 64 bit simülasyon araçları desteklenir.
| Simülasyon Araçları | Sürüm |
| Aldec Aktif-HDL | 13.0 (yalnızca Windows) |
| Aldec Riviera-PRO | 2019.1 |
| Cadence Xcelium* Paralel Mantık Simülasyonu | 21.09.003 (yalnızca Linux*) |
| Questa-Intel FPGA Sürümü | 2021.2 |
| Siemens EDA ModelSim SE | 2020.4 |
| Siemens EDA Questa Gelişmiş Simülatör | 2020.4 |
| Özet VCS* ve VCS MX | P-2019.06-SP2-5 (yalnızca Linux) |
Questa-Intel FPGA Sürümü, FlexLM lisanslama arka plan programının 11.16.4.0 (veya üzeri) sürümünü gerektirir. Lisanslama arka plan programını Intel FPGA Yazılımı için FlexLM Lisans Programlarından edinebilirsiniz. web sayfa.
Simülasyon araçlarının Intel FPGA Sürümü'nü FPGA'ler için İndirme Merkezi'nden edinebilirsiniz.
Questa-Intel FPGA Sürümü Sürüm 2021.2 için İşletim Sistemi Desteği
- Red Hat Kurumsal Linux 7 (64 bit)
- Red Hat Kurumsal Linux 8 (64 bit)
- SUSE Linux Kurumsal Sunucu 12 (64 bit)
- Windows 10 (64 bit)
İlgili Bilgiler
- Linux için Intel Quartus Prime Standard Edition Tasarım Yazılımı
- Windows için Intel Quartus Prime Standard Edition Tasarım Yazılımı
- Linux için Intel Quartus Prime Lite Sürümü Tasarım Yazılımı
- Windows için Intel Quartus Prime Lite Sürümü Tasarım Yazılımı
1.9. Antivirüs Doğrulaması
Intel Quartus Prime yazılımının aşağıdaki yazılımlarla virüssüz olduğu doğrulanmıştır:
Intel Quartus Prime Standard Edition için Antivirüs Doğrulama Yazılımı Sürüm 22.1std.1
Linux64 Sürümü için McAfee VirusScan Komut Satırı: 7.0.0.477
AV Motor sürümü: Linux6300.9389 için 64.
Veri kümesi sürümü: 10629, 22 Şubat 2023'te oluşturuldu
Intel Quartus Prime Standard Edition için Antivirüs Doğrulama Yazılımı Sürüm 22.1std
Linux64 Sürümü için McAfee VirusScan Komut Satırı: 7.0.0.477
AV Motor sürümü: Linux6300.9389 için 64.
Veri kümesi sürümü: 10505, 19 Ekim 2022'de oluşturuldu
1.10. Çözülen Yazılım Sorunları
Intel Quartus Prime Standard Edition Sürüm 22.1std.1'de hiçbir müşteri hizmetleri talebi düzeltilmedi veya başka şekilde çözüme kavuşturulmadı.
Aşağıdaki müşteri hizmetleri talepleri Intel Quartus Prime Standard Edition Sürüm 22.1std'de düzeltildi veya başka şekilde çözüldü:
Tablo 11.
Intel Quartus Prime Standard Edition Sürüm 22.1std'de Çözülen Sorunlar
| Intel Premier Destek Vaka Numaraları | |||||||
| 00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
| 00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
| 00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
| 00698210 | 00698732 | 05129080 | 05465225 | 11396299 | |||
1.11. Bu Sürümde Bulunan Yazılım Yamaları
Intel Quartus Prime Standard Edition Sürüm 22.1std.1, Intel Quartus Prime Standard Edition yazılımının önceki sürümleri için aşağıdaki yamaları içerir:
Tablo 12.
Intel Quartus Prime Standard Edition Sürümünde yer alan Yazılım Yamaları 22.1std.1
| Yazılım versiyonu | Yama | Müşteri Hizmetleri Talep Numarası |
| Intel Quartus Prime Sürüm 22.1 | 0.01. | – |
| Intel Quartus Prime Sürüm 21.1 | 0.14. | 00741067 |
Intel Quartus Prime Standard Edition Sürüm 22.1std, Intel Quartus Prime Standard Edition yazılımının önceki sürümleri için aşağıdaki yamaları içerir:
Tablo 13. Intel Quartus Prime Standard Edition Sürüm 22.1std'de bulunan Yazılım Yamaları
| Yazılım versiyonu | Yama | Müşteri Hizmetleri Talep Numarası |
| Intel Quartus Prime Sürüm 21.1 | 0.10. | – |
| Intel Quartus Prime Sürüm 21.1 | 0.08. | 00693884 |
| Intel Quartus Prime Sürüm 21.1 | 0.07. | 00501636 |
| Intel Quartus Prime Sürüm 21.1 | 0.06. | 00689611 |
| Intel Quartus Prime Sürüm 21.1 | 0.04stdp | – |
| Intel Quartus Prime Sürüm 21.1 | 0.03. | – |
| Intel Quartus Prime Sürüm 21.1 | 0.02. | – |
| Intel Quartus Prime Sürüm 20.1.1 | 1.09. | 00702107 |
| Intel Quartus Prime Sürüm 20.1 | 0.14. | 00702107 |
| Intel Quartus Prime Sürüm 18.1.1 | 1.13. | – |
| Intel Quartus Prime Sürüm 18.1.1 | 1.12. | – |
| Intel Quartus Prime Sürüm 18.1.1 | 1.09. | – |
| Intel Quartus Prime Sürüm 18.1 | 0.23. | 00698210 |
| Intel Quartus Prime Sürüm 18.1 | 0.21. | 00669646 |
| Intel Quartus Prime Sürüm 18.1 | 0.20. | 00689611 |
1.12. Bilinen En Son Intel Quartus Prime Yazılım Sorunları
Intel Quartus Prime Standard Edition Sürüm 22.1std'yi etkileyen bilinen sorunlar hakkındaki bilgileri Intel FPGA Bilgi Tabanında bulabilirsiniz.
Intel Quartus Prime Standard Edition Sürüm 22.1std'yi etkileyen sorunlar hakkında en son bilgiler için,view Intel Quartus Prime Standard Edition Sürüm 22.1std için geçerli olan Intel FPGA Bilgi Bankası makaleleri.
Tablo 14.
Intel Quartus Prime Standard Edition Sürüm 22.1std'yi Etkileyen Önemli Bilinen Sorunlar
| Tanım | Geçici çözüm |
| Microsoft* Windows sistemlerinde, SDI II Intel FPGA IP tasarımı exampdosya oluşturma aşağıdaki hata mesajıyla başarısız oluyor: Hata: Eski oluşturulamadıample tasarım eskiample_design'dan:: \sdi_ii_0_example_design |
Ayrıntılar ve bir düzeltmenin kullanılabilirliği için bkz. SDI II Intel FPGA IP tasarımı neden eskidir?ampWindows için Intel Quartus Prime Yazılımını kullanırken dosya oluşturma işlemi başarısız mı oluyor? Intel FPGA Bilgi Tabanında. |
| Microsoft Windows sistemlerinde Intel Arria 10 EMIF Ex oluşturulurken aşağıdaki hata oluşuyorampSimülasyon için tasarım: Hata: emif_0: Ex simülasyonu oluşturulurken bir hata oluştuamptasarım. Ayrıntılar için make_sim_design_errors.log'a bakın. Hata: Eski oluşturulamadıamptasarım ile:amptasarım dizini> Ex Oluşturample Tasarım: hatalarla tamamlandı |
Bu uyarı mesajlarını güvenle göz ardı edebilirsiniz. Simülasyon file Siemens EDA Questa ve Aldec Riviera-PRO simülasyon yazılımı için setler oluşturulur ve ilgili tasarımı içerir fileSimülasyonu başarıyla çalıştırmak için. Daha fazla ayrıntı ve bir düzeltmenin kullanılabilirliği için bkz. Intel Arria 10 EMIF Ex neden çalışıyor?ampWindows için Intel Quartus Prime Standard Edition Yazılımı Sürüm 22.1'i kullanırken Tasarım Oluşturma Başarısız mı oluyor? Intel FPGA Bilgi Tabanında. |
| Intel Arria 10 EMIF IP Atlama Kalibrasyon modunu kullandığınızda, Intel Arria 10 EMIF IP'nin Siemens EDA Questa simülasyon yazılımı (Siemens EDA Questa) ile simülasyonu Gelişmiş Simülatör veya Questa-Intel FPGA Sürümü) takılabilir. |
Takılmayı önlemek için Hızlı simülasyon simülasyonu için Soyut PHY seçeneğini kullanın. Daha fazla ayrıntı ve bir düzeltmenin kullanılabilirliği için bkz. Intel Quartus Prime Standard Edition Yazılımı sürüm 10 kullanılırken Mentor simülatörlerinde Intel Arria 22.1 EMIF IP Simülasyonu neden takılıyor? Intel FPGA Bilgi Tabanında. |
Quartus Prime yazılımının önceki sürümlerine ilişkin bilinen sorun bilgilerini Intel FPGA Bilgi Tabanında bulabilirsiniz. web sayfa.
Quartus II yazılımının önceki sürümlerini etkileyen bilinen yazılım sorunları hakkında bilgi Intel Quartus Prime ve Quartus II Yazılım Desteği'nde mevcuttur. web sayfa.
Intel FPGA IP Kitaplığını etkileyen sorunlarla ilgili bilgiler her IP'nin sürüm notlarında mevcuttur. IP sürüm notlarını Intel FPGA Dokümantasyon Dizininde bulabilirsiniz. web sayfa.
İlgili Bilgiler
- Intel FPGA Bilgi Tabanı
- Intel Quartus Prime ve Quartus II Yazılım Desteği
- Intel FPGA'ler ve Programlanabilir Cihazlar Sürüm Notları
1.13. Intel Quartus Prime Standard Edition Yazılımı ve Cihazı Destek Sürüm Notları Arşivleri
Bu sürüm notlarının en son ve önceki sürümleri için Intel Quartus Prime Standard Edition Yazılım ve Cihaz Desteği Sürüm Notlarına bakın. Bir yazılım sürümü listelenmiyorsa önceki yazılım sürümünün sürüm notları geçerli olur.
1.14. Intel Quartus Prime Standart Sürüm Yazılım Sürümü Sürüm 22.1std Belge Revizyon Geçmişi
| Belge Sürümü | Intel Quartus Prime Sürümü | Değişiklikler |
| 2023.03.21 | 22.1std.1 | • Sürüm 22.1std.1 için güncellendi • Sürüm 22.1std için sürüm numarası düzeltildi. |
| 2022.11.07 | 22.1. | • Bilinen En Son Yazılım Sorunları Güncellendi. |
| 2022.10.31 | 22.1. | • İlk sürüm. |
Intel Quartus Prime Standard Edition: Sürüm 22.1std Yazılım ve Cihaz Desteği Sürüm Notları
Çevrimiçi sürüm
Geri bildirim gönder
Kimlik: 683593
RN-01080-22.1std
Sürüm: 2023.03.21
Belgeler / Kaynaklar
![]() |
Intel Quartus Prime Standart Sürüm [pdf] Kullanıcı Kılavuzu Quartus Prime Standart Sürüm, Prime Standart Sürüm, Standart Sürüm |
